今天看啥  ›  专栏  ›  雷峰网

不怕EDA被卡脖子,国产高端FPGA突破“技术铁幕”

雷峰网  · 公众号  · 科技媒体  · 2021-03-01 14:05
未来五年,国产FPGA在高端市场有望深度突破,传统领域还是新兴领域机会更大?”作者 | 包永刚出品 | 雷锋网产业组相比几十亿出货量,市场规模千亿美元的CPU和GPU,市场规模还未超百亿美元的FPGA并非大众关注的焦点。不过,在提升国产芯片自主化率的大背景下,与CPU、GPU、DSP共称为国产芯片“四大件”的FPGA对于真正实现芯片国产自主有着基础性作用,已经有中国FPGA公司在设计环节打破了对国外公司的依赖,直接参与FPGA的全球竞争。FPGA的独特性,让国产FPGA已经在中低端市场可以不被EDA卡脖子。未来五年,国产FPGA在高端市场有望深度突破。当然,软硬件技术挑战以及全球FPGA市场格局的变化都是国产FPGA实现高端和极高端市场突破的挑战。1FPGA更容易实现国产替代CPU、 ………………………………

原文地址:访问原文地址
快照地址: 访问文章快照